DIY一个50V 50A 最大300-500W的恒流电子负载,缓慢更新中
wwwajjlcn2015/04/01电气电工 IP:广东
我是个电子爱好者,老爸本来是修家电的,手边有大量电路板与零件,从小我就在这样的环境中长大,久而久之我也混熟了这些东西。
我也比较喜欢上X宝网淘一些洋垃圾电源来折腾,便宜量又足,偶尔也修修电源、改装电源,也会折腾一些二手电池。
但一直苦于没有一个像样的电子负载,虽然有个3KW大电炉可以勉强做负载用,但是还是太麻烦,给电池放个电一不小心就过放了,真闹心。
偶尔也上X宝看看电子负载,但是看看那价格........看看那功率.........再看看我腰包........呵呵...!




于是打算要做个电子负载。

[s:42]
来自:电气工程 / 电气电工
103
 
已屏蔽 原因:{{ notice.reason }}已屏蔽
{{notice.noticeContent}}
~~空空如也
wwwajjlcn 作者
9年1个月前 IP:广东
760168
首先来推敲一下我所需要的电气参数吧~~~~
有时我会修修5V40A 200W的电源,所以负载电流应该达到50A,功率要达到300W
有时候可能会测试一下48V电动车电池组,所以耐压应该要达到60V以上,具体要看负载管的耐压了

至于检流电阻么,由于50A不是个小电流,所以直接采用手头上现有的10毫欧2512贴片电阻,5组分流,5个MOS负载管,5个散热器,分散功率,每个电阻最大耗散1W功率,MOS管的参数要求也可以放低,也容易散热。


功率部分大概就是这样,然后是控制部分。


由于我还懂一些电脑C++编程,51单片机C语言,STM8单片机编程,数字电路啥的。。所以决定用单片机做控制板了。
51单片机直接PASS,已经被STC这丫的伤了我的心,BUG真多,已经不敢用了。所以决定采用STM8,便宜量又足,外设多,IO多,稳定。

然后嘛,电脑联机通讯应该是要有的,利用电脑的强大功能,实现数据记录、数据处理、还有多种多样的功能。
既然都用到电脑通讯了,干脆连屏幕、按键啥的都省了,全部功能用电脑控制,电脑不是有个大到用不完的屏幕和多到用不完的按键吗?哈哈哈哈....
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760184
下一步,选择拓扑结构。
我的宗旨是,使用常见、易得的器件,做出精度尽量高的仪器。

下面就是简化版的拓扑示意图:

恒流、测流拓扑.png


测压拓扑.png
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760187
到这里就暂告一段落了,因为遇到了一个难题。。。。这个问题就是,拓扑图中所谓的“高精度PWM”到底要如何实现。。。

我的PWM精度目标是,50A的电流范围内,控制能力要达到1mA,也就是说,PWM的精度应该要达到16位(65536级)。
如果使用传统的PWM方法,以STM8单片机的16M时钟频率来使出16位PWM,频率只能达到244HZ,这么低的频率,先不说那超垃圾的RC滤波响应速度,光是纹波都大的要命,还谈何“高精度”?

这个问题,在我的N次蹲坑静思之后,终于想出了解决方案!


欲知后事如何,请听下回分解~~~~[s:33]先睡觉去
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
21006091
9年1个月前 IP:广东
760188
这电路图怎么就和我家的羊肠小道那么像呢?[s::lol]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760189
引用 21006091:
这电路图怎么就和我家的羊肠小道那么像呢?
哈哈,简单的东西,懒得用制图软件了,还是直接画来的自由,画成这样还真是别有一番风味
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
张静茹
9年1个月前 IP:北京
760190
引用 wwwajjlcn:
哈哈,简单的东西,懒得用制图软件了,还是直接画来的自由,画成这样还真是别有一番风味
一定要学Altium Designer这类的软件来设计电路
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
虎哥
9年1个月前 IP:四川
760191
搬着小板凳听楼主讲故事
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
blueness
9年1个月前 IP:天津
760199
坐等楼主更新。

是外搭了PWM控制器么?再通过MCU控制?
12345终端恒流板最后一致性可能有点难调。
MOS管用的是什么型号?增强型有点困难吧
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
沉默羔羊
9年1个月前 IP:广东
760204
说实话,我也非常恶心STC那傻B到爆的广告,但芯片还没那么不堪,在国内C51行业还算是老大的地位。你的那些要求51足够,你不会想上STM32吧?
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760219
引用 blueness:
坐等楼主更新。

是外搭了PWM控制器么?再通过MCU控制?
12345终端恒流板最后一致性可能有点难调。
MOS管用的是什么型号?增强型有点困难吧
PWM稍后会说到,没有使用专用PWM控制器。
根据LM324的最大失调电压计算,各终端板间的最大相差电流会达到0.4A,但是不用管,只要总电流控制精确就行了。
MOS管是采用电动车控制器的管子,电流大,功率大,内阻低
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760221
引用 沉默羔羊:
说实话,我也非常恶心STC那傻B到爆的广告,但芯片还没那么不堪,在国内C51行业还算是老大的地位。你的那些要求51足够,你不会想上STM32吧?
STC我经常用15F系列,外部中断不能用这个BUG已经爆出很久了。。。这个倒是没什么。。。

然后就是IO容易受干扰的问题,我做的一个调光天花灯,挂一根30CM按钮线下来,IO设定为弱上拉模式。。前面几个月倒是平安使用没问题,到了一年之后,非常容易受干扰,这个灯随机随时会变亮度档位。。。。这个倒也可以接受,大不了加强上拉电阻。。。

然后就是上电时钟频率管理也有BUG,把5V电源线滋啦滋啦多刮几次频繁复位,经常能看见时钟速度随机变,原本设定的25M已经跑下到几M了。。。这个就不能忍了

于是换了STM8,现在用的不亦乐乎,外设太丰富了
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
hackerboygn
9年1个月前 IP:湖北
760248
我最近也有打算做个电子负载,正好到LZ这取取经……
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 修改于 9年1个月前 IP:广东
760250
好了,直入PWM正题。

高精度PWM.png

此电路图经过multisim 11 模拟验证过,滤波后纹波低至20uV



为了达到最好的PWM精度,有几点地方要注意


1、高8位与低8位电阻的阻值选择,它们的阻值比例应该尽量接近256:1,否则会出现“越级失真”,比方说0xAAFD、0xAAFE、0xAAFF值的PWM输出还是有序、平滑的,到了0xAB00就突然偏差了几个字


2、PWM驱动器的内阻应该尽量低,避免因为驱动器的高低电平驱动能力有区别而导致线性失真,尤其是高8位PWM,我的做法是使用74HC04反相器多门并联驱动。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 修改于 9年1个月前 IP:广东
760254
现在主要结构已经想的差不多了,下一步就是完善详细参数。以下就是当时写的参数表,直接贴上来算了


【检流电阻】
单个电阻阻值:10mR
电阻并联数量:5
等效总阻值:2mR
最大总电流:50A
电阻最大压降:100mv


【差分电流放大】
输入失调电压:0.15mv
放大倍数:49
放大后的失调电压:7.35mv
最大差分输入电压:0.1v(放大后为4.9v)
最佳输出偏置电压:+50mv(容许范围:+30mv ~ +70mv)


【单片机ADC】
基准电压:5v
最大分辨率范围:10位(1024级)
使用的分辨率范围:1000/1024(4.8828125v)
最小电压分辨率:4.8828125mv(48.828125ma电流)


【PWM DAC】
基准电压:5v
数字分辨率:16位(65536级,最小步进76.3uV)
低8位电阻值:2.56M欧
高8位电阻值:10K欧
线性精度:优于千分之一(误差小于5mv)
高8位PWM输出内阻:小于10欧(两片74HC04,共12个门并联)
RC滤波结构:10K + 22nF + (4 * (1K + 22nF))
滤波后总内阻:14K(带载3nA 偏移42uV)
纹波:小于20uV
最大响应时间:15mS(误差值达到1个字以内)


【在PWM DAC基础上的逐次逼近型ADC】
最大转换时间:15mS * 16位 = 240mS
采样限流电阻:1K
采样保持电容:10uF
采样保持时间:3nA负载,250mS偏离量小于74uV
采样最大充电时间:113mS(误差值达到1个字以内)



PS:上表中的“带载3nA”是指OP07运放的输入电流
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760261
关于琢磨具体电路,此处省略一万字..........

电路图什么的考虑到可能还不成熟,可能有BUG,还需要长时间调试、修改,暂时还是先不贴出来误人子弟,直接上制作照片吧。。

PCB是直接从Protel 99 SE画的,没有电路图纸。


画好之后激光打印、热转印机,出来实物了

IMG_20141228_172102.jpg




IMG_20141228_175836.jpg

上大电炉,55V5A持续加热腐蚀PCB。
话说各位不知道有没有觉得这个电源很眼熟?
48V50A怪兽功率超小体积超高效率,不要799,也不用399,只要179即可拥有,还能改调流(0-50A)调压(40-60V),型号ZXD2400



IMG_20141228_212616.jpg

腐蚀完毕,左为主控板,右为终端板
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
1176764177
9年1个月前 IP:北京
760272
引用 wwwajjlcn:
关于琢磨具体电路,此处省略一万字..........

电路图什么的考虑到可能还不成熟,可能有BUG,还需要长时间调试、修改,暂时还是先不贴出来误人子弟,直接上制作照片吧。。

PCB是直接从Protel 99 SE画的,没有电路图...
这个电源应该可以改0-60v
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760274
引用 1176764177:
这个电源应该可以改0-60v
改是可以改,就是网上有很多人说,电压低的时候拉满50A电流很容易炸机。。低压的时候不敢上高电流
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
1176764177
9年1个月前 IP:北京
760296
引用 wwwajjlcn:
改是可以改,就是网上有很多人说,电压低的时候拉满50A电流很容易炸机。。低压的时候不敢上高电流
我是比这个低的版本电流最大调到33a表示10a以下输出断续不咋好使只能做功率电源
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
1176764177
9年1个月前 IP:北京
760299
我这正憋着做数控的纯线性电源
准备是运放做电压电流环然后用mcu的dac做ref你用过stm32的dac么电压稳定度如何需不需要做一个电压输出器或者rc滤波
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760302
引用 1176764177:
我这正憋着做数控的纯线性电源
准备是运放做电压电流环然后用mcu的dac做ref你用过stm32的dac么电压稳定度如何需不需要做一个电压输出器或者rc滤波
不建议使用MCU参与电流控制,速度慢,对负载很不利,尤其是实验级电源,一不小心短路啥的来不及关断。除非用来做LED灯调光啥的大老粗负载倒可以。建议只使用MUC的PWM来做可调稳压基准,电流控制环路采用运放控制
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
最高主机泡泡
9年1个月前 修改于 9年1个月前 IP:天津
760306
后排听故事。。。
功率管用75NF75之类的就差不多吧,很便宜,多并联几个,耐压电流都达标,烧坏了也不会很心疼
不过用IRF2907之类的暴力牛管的话也可以吧,两个并联估计就差不多了,这样电路能简单点,没有均流的一些问题。。散热貌似不太好弄,几百W的耗散功率啊。。
要是我估计就直接上STM32了=-=自己做DIY,也不在乎那几块钱差价,性能越强设计起来越省心嘛。。。不过STM8确实是个好芯片啊,功能多性能不错还很便宜。。
另外ZXD2400这个电源能改0-60V和0-50A的
0__e0a44da11d79e96.jpg

顺便关注下上位机的编写。。一直搞不定C++的GUI界面软件怎么写,也看不太明白。。。能发点这方面的过程就好了
不过感觉作为一个常用的仪器的话,联机功能需要有,但是下位机也要有必要的显示和控制部分啊,要不然测试个电源的负载能力还必须一直连接着电脑就太烦人了。。。加个编码器或者按键,再加个1602屏幕显示参数应该也不难吧
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760307
IMG_20141230_233911.jpg
做终端板,焊好元件,找个铝条涂上导热胶贴上,用弹簧丝夹几小时晾干





IMG_20141231_133646.jpg
搞个铜片堆上导热胶给电阻散热,降低热漂移,再夹上弹簧丝
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760308
引用 baiwenglong:
后排听故事。。。
功率管用75NF75之类的就差不多吧,很便宜,多并联几个,耐压电流都达标,烧坏了也不会很心疼
不过用IRF2907之类的暴力牛管的话也可以吧,两个并联估计就差不多了,这样电路能简单点,没有均流的一些问题。。散热貌似不太...
我喜欢STM8的单线调试,体积小IO多,性能够用也没必要搞STM32,做小板子也容易,我经常会做点小板子藏进电源适配器里控制恒流输出,然后驱动LED大灯。STM8的工作电压也宽,适用范围也广

至于上位机软件,还是别太期待,我是实用主义,直接用C语言控制台来控制,懒得写界面了。说不定以后蛋疼了也会做做界面。。。

至于显示和控制嘛,由于我的电脑离的很近,就直接用电脑控制了,鼠标键盘用起来也比编码器啥的方便吧,也懒得写MCU的屏幕、按键驱动代码了
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
1176764177
9年1个月前 IP:北京
760314
引用 wwwajjlcn:
不建议使用MCU参与电流控制,速度慢,对负载很不利,尤其是实验级电源,一不小心短路啥的来不及关断。除非用来做LED灯调光啥的大老粗负载倒可以。建议只使用MUC的PWM来做可调稳压基准,电流控制环路采用运放控制
不参与直接运放做电流电压环mcu只提供运放的ref来控制横流和恒压点
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 修改于 9年1个月前 IP:广东
760319
然后该搞主控板了,第一个目标就是MCU的串口通讯功能。

为了设备与电脑的安全与稳定,串口通讯采用光耦隔离设计,然后,问题就来了。。。

1.png

最先,是使用这种电路,结果在传输的时候,收到的数据总是错的。。。用示波器一看,我的乖乖~~~~~波形都成了这样了
3.png






问题就是光耦的截止速度太慢了,开通速度倒是凑合。然后我就加了一组光耦,做成推挽的,于是问题解决




2.png

4.png






IMG_20150101_221938.jpg
IMG_20150101_222238.jpg
光耦没地儿放了,只能叠双层再飞线



.
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760351
调好串口了,然后继续焊接其他零件,飞线连接PWM相关的功能模块


IMG_20150102_234623.jpg


趁着这个照片角度这么正,顺便也介绍一下各个IC的作用吧


22.png



PS:板子上那两根超粗的线路就是5V基准电压,为了低压降,并且板子有空间,我都尽量铺粗线路了,哪怕电流只有几毫安。。。虽说有些蛋疼,这大概就是强迫症了吧[s:30]



PPS:板子上所有的地线都是相通的,电源线都不一定通,需要飞线,还有很多信号线都要飞
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
760357
开始测试PWM


先是从0开始,万能表打到200mV档,显示000.0mV。电脑上控制PWM一个字一个字地往上加,表显示的末位数也跟着一个个加,每隔几个字停顿一次,微电压控制非常精细,完全秒杀三位半的VC890万用表,表的精度不够用了。。。


然后就是测试“越级失真”了
先设定PWM为0x00FF,表显示19.3和19.4跳来跳去
再设定0x0100,表显示定在了19.4
再设定0x0101,表显示19.5了,效果很不错,看不出来有“越级失真”,简直堪称完美。


再测试一下200mV左右的越级失真,根据计算,PWM应该为0x0A00左右
先设定0x09FF,表显示195.1和195.2跳来跳去
设定0x0A00,表显示195.2
设定0x0A01,表显示195.3,精度依然完美,看来那一对高低位PWM电阻精度很不错,匹配的很好


再高的已经没法测了,高档位精度不够了
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
坚持and突破
9年1个月前 IP:河北
760363
围观
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
blueness
9年1个月前 IP:广东
760365
坐等更新
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
stevenli
9年1个月前 IP:广东
760375
牛人,希望能把电路图也分享出来
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
zjtz001
9年1个月前 IP:广东
760755
牛人
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
761481
下一步就是连接主控板的所有功能模块了,继续飞线,飞线,飞、飞、飞。。。。然后嘛


我了个去,飞不动了,线太杂乱,很多焊点都被遮住了,万一还要改个电阻啥的怎么办?


郁闷了一阵子,然后就是拆线,拆、拆、拆......找来一些网线,剥开取单股铜线,走高架线



IMG_20150110_213215.jpg


IMG_20150110_213312.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 修改于 9年1个月前 IP:广东
761485
信号线就飞好了,然后是电源线,正面太挤了,就从背面飞吧。

找来个小钻头,手动拧着钻洞洞

IMG_20150110_214805.jpg

一不小心手一抖,钻头断了.....

默哀5秒,默默拿出钻头盒,从9根备用钻头中取出一根,继续开工

IMG_20150110_214528.jpg


钻好了之后就接线,白线是-5V,蓝线是12V

IMG_20150110_231747.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
761491
下一步,该找主控板的盒子了,一顿翻找垃圾堆,找到一个铝制电源盒,看着挺合适的


一顿加工之后,成了这样


IMG_20150110_231828.jpg


再把主控板裁小,放上去,恩,简直是天作之合


IMG_20150110_231837.jpg



这个电源盒本身还有个铝盖的,当时忘了拍照了,要看成品图只能等后面的帖子了



铝壳本身导热性能就非常好,不但检流电阻得到了较好的散热,主控板放在里面也有较好的抗电磁干扰效果
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
1176764177
9年1个月前 IP:北京
761492
引用 wwwajjlcn:
信号线就飞好了,然后是电源线,正面太挤了,就从背面飞吧。

找来个小钻头,手动拧着钻洞洞



一不小心手一抖,钻头断了.....

默哀5秒,默默拿出钻头盒,从9根备用钻头中取出一根,继续开工




钻好了之后...
你太浪费了这是钨钢的钻头很脆用手纯粹是浪费啊。。
至少也得是台钻啊
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 修改于 9年1个月前 IP:广东
761504
然后就是散热系统了

图中的那个管子只是个13007,是用于演示效果的

IMG_20150113_171957.jpg


IMG_20150113_172012.jpg


IMG_20150113_172019.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
761506
引用 1176764177:
你太浪费了这是钨钢的钻头很脆用手纯粹是浪费啊。。
至少也得是台钻啊
钻头是淘宝上的翻新钻头,一块钱左右一根也挺好用,不可惜了。。
我也没有台钻,顶多就是个手电钻,钻很重,难度更高,所以还是用手来了,而且精度也容易控制,PCB很软也易钻
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
761515
然后,到了喜闻乐见的找管子环节


跑到附近的电动车专卖店,一番交涉,最终以10块钱一个的价格买了一麻包袋的坏电动车控制器
(这简直是高兴死我了,控制器里全是一堆好几十伏、好几十安的MOS管,以后不愁没管子用了[s:20])


从中挑选出一个电流最大的控制器,拆开一看,满满一排的大功率MOS管,型号都是RU75N08R,75V80A 8毫欧 280W等的馋人参数 IMG_20150113_183430.jpg


IMG_20150113_183513.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
1176764177
9年1个月前 IP:北京
761518
引用 wwwajjlcn:
钻头是淘宝上的翻新钻头,一块钱左右一根也挺好用,不可惜了。。
我也没有台钻,顶多就是个手电钻,钻很重,难度更高,所以还是用手来了,而且精度也容易控制,PCB很软也易钻
手钻没法用这个比普通钻头脆很多而且这东西的螺距很大手钻不好使
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
761519
装上管子、双风扇,用锡纸围好风道,气流的方向是四周吸入再向上喷出


风扇测试运行中.........

IMG_20150113_201926.jpg


搞好散热器,然后是做支架。


看了下手边有的木头材料,一顿比划、思考,做成了这样。


上层是可拆卸的,下层与四条腿是固定的,下层用来放主控盒与电源等
IMG_20150113_215722.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 修改于 9年1个月前 IP:广东
761535
散热器系统就绪,下面就是连接终端恒流板了




接线端子焊接中.......
IMG_20150113_235822.jpg




接线完毕,准备测试拉负载了。


给终端板供上12V,找个10K电位器,电位器1脚接地,2脚做恒流控制信号接入终端板、3脚接12V。


IMG_20150114_022138.jpg


电位器旋到最低,电源设定到12V1A,把MOS负载线一接.....
电压直接被拉到了零点几V,一番检查发现有一个MOS是坏的,换掉,继续测试。


再次接上负载线,显示0电流,OK。
慢慢调大电位器,电流逐渐上升到0.87A
IMG_20150114_022141.jpg



此时去测试所有MOS的G极,均为3.7v-3.9V,每个管子都有工作,OK。


把电源的电流放宽到5A,慢慢调大电位器,控制它停到4.8A附近
IMG_20150114_022532.jpg





此时拿出我的直流钳表,测量各路MOS管的电流,都在0.7A-1.0A范围内,达到预期目标
IMG_20150114_023125.jpg





测试一番之后,散热器温度已经开始烫手了,给风扇接上12V,十几秒就凉下来了,散热效果不错
引用
评论
1
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rudolf
9年1个月前 IP:山东
761546
恭喜恭喜
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
761548
下一步,连接主控板


线简直是太杂乱了,焊接难度相当高,尤其是大电流线粗又硬在那挡着,拨开他们的时候总怕把PCB铜箔给掀了......


IMG_20150114_171854.jpg



顺带一提,上图中间黑黑的那个东西是HKS05003降压模块,输入12V用它来产生-5V






接好了主控板,连上USB串口、ST-Link,开始写MCU程序了
IMG_20150115_205907.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wkcltp
9年1个月前 IP:重庆
762187
精彩!等电路图、固件分享
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
最高主机泡泡
9年1个月前 IP:天津
762208
钻头这么用确实有点浪费的。。这钻头大概是雕刻机之类的数控机器上的钻头。。
起码要用台钻吧。。很脆用手钻很容易断掉啊。。而且转速低了不好用的。。。
其实75N08这种管子真心算不上什么强管。。。就是电动车控制器用的便宜管子而已。。参数不算出彩,其实主要还是这个TO-220的封装的散热压力。。还有耗散功率不大。。电子负载要求的就是电压电流还有耗散功率
只考虑电压电流,IRF3205是个很便宜好用的管子,55V110A8mΩ,耗散功率200W。。不过这也只是管芯能承受的参数而已,引脚封装都承受不了。。TO-220的散热也根本撑不住200W。。PDF里有这么一句
The TO-220 package is universally preferred for all
commercial-industrial applications at power dissipation levels
to approximately 50 watts. The low thermal resistance and
low package cost of the TO-220 contribute to its wide
acceptance throughout the industry.
大意就是TO220这个封装的耗散功率只能跑到50W左右
220封装的暴力牛管还有很多,不过都是因为这个原因,做开关管还好说,做电子负载完全跑不出本来的性能,就不提了
其实做大功率电子负载,功率管我感觉还是用TO-247的合适。。或者更暴力点的。。这种封装的



貌似是叫Super-247吧,单管极限好像是能散热到200W左右(大神测试的)
另外这里这个,IRFPS3810,真心也是个神管。。100V170A的参数。。耗散功率580W。。。当然也是封装限制跑不出这么高


XXXXXXXXXXXXXXXXXXXXXXXXXXXX/datasheet-pdf/view/68556/IRF/XXXXXXXXXXXXml
IRFP4368。。75V,350A(这都什么黑科技的参数)。1.46毫欧,耗散功率520W。。这管子由于电流太丧心病狂,连PDF都单独注明了个封装极限电流195A(还是黑科技)。。而且这玩意竟然只是个TO-247的。。。我感觉要做成模块封装能完全跑出管芯的全力吧。。
XXXXXXXXXXXXXXXXXXXXXXXXXXXX/datasheet-pdf/view/236396/IRF/XXXXXXXXXXXXXXml
IRF2907这管子,比起上面那个差了点,75V,209A,4.5毫欧,470W。。。
XXXXXXXXXXXXXXXXXXXXXXXXXXXX/datasheet-pdf/view/202755/IRF/XXXXXXXXXXXXXXml其实IR的牛管真的有很多。。做电子负载的话,都做到这程度了用75N08这种管子也有点浪费啊。。不过查了下这管子标的耗散功率有250W还真是让我有点吃惊。。不过还是被封装坑了,完全散不掉啊
电子负载的话,可以看看这篇帖子,真的写的很好。。
XXXXXXXXXXXXXXXXXXXt/XXXXXXXXp?mod=viewthread&tid=70999&extra=&page=1
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
blueness
9年1个月前 修改于 9年1个月前 IP:福建
762229
[s::)]
楼主DIY的电子负载,至此基本上实现了功能,虽然还有部分不如意的地方,但整体上来看还是挺不错的。鼓励一下!

后面还需要验证一下精度如何,最大耗散功率……
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
pch888
9年1个月前 IP:北京
762460
Mark。。。。。。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
1211
9年1个月前 IP:四川
762463
引用 wwwajjlcn:
关于琢磨具体电路,此处省略一万字..........

具体理论依据不能省啊,这个帖子再补充点理论分析就可以加学分了。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
GB913759593
9年1个月前 IP:广东
762586
为什么钳表能测直流电????
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
wwwajjlcn作者
9年1个月前 IP:广东
762594
引用 baiwenglong:
钻头这么用确实有点浪费的。。这钻头大概是雕刻机之类的数控机器上的钻头。。
起码要用台钻吧。。很脆用手钻很容易断掉啊。。而且转速低了不好用的。。。
其实75N08这种管子真心算不上什么强管。。。就是电动车控制器用的便宜管子而已。。参数不算...
管子嘛,我也不求很NB,够用就行。。电动车控制器的管子性能也还算可以了,平时无论做什么东西都够强大了,也容易入手。


搞那么大强大的管子,散热器也未必跟得上呀,平时DIY最常用就是铝散热器了,一个破铝要把热量传导那么远再散出去也是比较不实用的~~


然后,谢谢你推荐贴子给我,我会收藏起来,不过也没什么时间研究就是了。我平时工作也算忙的,就是我这个电子负载现在都还处于半烂尾状态,程序都没完善,只是勉强能用、能测电池这样简单的功能。。


其实,我做的这个电子负载也没什么高深的技术,也只是凭着自己的性子乱做而已,最终能做到的精度也只有10ma左右(OP07运放漂的),读数跳动2ma/每秒采样2次(OP07运放速度太慢了)
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论

想参与大家的讨论?现在就 登录 或者 注册

所属专业
上级专业
同级专业
wwwajjlcn
进士 笔友
文章
4
回复
65
学术分
0
2013/08/16注册,6年4个月前活动
暂无简介
主体类型:个人
所属领域:无
认证方式:邮箱
IP归属地:未同步
文件下载
加载中...
{{errorInfo}}
{{downloadWarning}}
你在 {{downloadTime}} 下载过当前文件。
文件名称:{{resource.defaultFile.name}}
下载次数:{{resource.hits}}
上传用户:{{uploader.username}}
所需积分:{{costScores}},{{holdScores}}下载当前附件免费{{description}}
积分不足,去充值
文件已丢失

当前账号的附件下载数量限制如下:
时段 个数
{{f.startingTime}}点 - {{f.endTime}}点 {{f.fileCount}}
视频暂不能访问,请登录试试
仅供内部学术交流或培训使用,请先保存到本地。本内容不代表科创观点,未经原作者同意,请勿转载。
音频暂不能访问,请登录试试
支持的图片格式:jpg, jpeg, png
插入公式
评论控制
加载中...
文号:{{pid}}
投诉或举报
加载中...
{{tip}}
请选择违规类型:
{{reason.type}}

空空如也

加载中...
详情
详情
推送到专栏从专栏移除
设为匿名取消匿名
查看作者
回复
只看作者
加入收藏取消收藏
收藏
取消收藏
折叠回复
置顶取消置顶
评学术分
鼓励
设为精选取消精选
管理提醒
编辑
通过审核
评论控制
退修或删除
历史版本
违规记录
投诉或举报
加入黑名单移除黑名单
查看IP
{{format('YYYY/MM/DD HH:mm:ss', toc)}}