龙少精简嗨爆版8位单周期CPU内核原创电路发布(计划出套件)
神之觉醒2014/11/12计算机电子学 IP:广西
刚画完电路先把电路贴出来[s::victory:]
这个CPU由30多片TTL门电路组成.
非常简单而强大的电路...连我自己都被这个设计震惊...[s::funk:][s::@]
设计是按照硬件条件来设计的, 计划装在两片10CM*10CM的PCB上面,这个规格的PCB打样价格低.
跳转指令跳转消耗2个时钟,不跳转消耗1个时钟,其他指令1个时钟.
RAM和ROM地址为24位(地址寄存器24位,程序计数器24位),最大可以寻址16MB的RAM和16M的ROM.
IO方式为内存映射IO.
保守估计用同样型号的IC性能大概比小UU强大约2倍,条件是频率要运行在10MHZ左右(性能相当于小UU运行在27MHZ的2倍), =  =其实性能要看每秒加法减法多少次...[s::L][s::lol]  看频率有点吹水的感觉[s:;P]
Multisim 11.0仿真文件下载:

attachment icon 龙少精简嗨爆版8位单周期CPU.rar 1.45MB RAR 1155次下载

本CPU可以看成由3个部分组成;
1.运算逻辑.
龙少精简嗨爆版8位单周期CPU运算逻辑.png

2.地址逻辑.
龙少精简嗨爆版8位单周期CPU地址逻辑.png

3.指令译码逻辑.
龙少精简嗨爆版8位单周期CPU译码逻辑.png

数据通道结构图:
龙少精简嗨爆版8位单周期CPU结构.png

[修改于 9年6个月前 - 2014/11/12 06:22:16]

+1  学术分    1211    2014/11/19 大作,理论阐述清晰,实践过程十分精彩
加载全文
来自:计算机科学 / 计算机电子学
294
 
3
已屏蔽 原因:{{ notice.reason }}已屏蔽
{{notice.noticeContent}}
~~空空如也
神之觉醒 作者
9年5个月前 修改于 9年5个月前 IP:广西
734993
引用 rpg-7:
呃。就我使用单片机的经验来看,用中断是节约时间的,定时器至少比靠浪费机器周期,+1的延时函数好的多。而且貌似操作系统的进程切换机制靠的就是中断,给程序分配时间片,然后到时间切换回来,再进行判断,给其他程序分配时间,至少貌似多进程操作系统貌似...
没错..中断一个是为了快速响应外部设备然后传数据,另一个是实现一个CPU运行多个任务... 自从YY出新结构,CPU硬件电路已经不再复杂, 打算堆一大驼CPU上去, 没用到的核心就关闭电源, 这样搞又简单又好玩~
CPU大部分时间都是在操作内存, 这里搞N个CPU, 运行任务的每个CPU除了主内存外还有一小块公共内存,用于和CPU0交换数据, 只有CPU0可以进行IO操作,比如读写磁盘操作显示之类的命令^&^(*$%^... CPU0一个作用是根据用户的IO操作,给其他CPU运行的任务输入数据,并且返回显示数据, 其他CPU不能直接操作IO, 要操作IO可以通过CPU0运行的操作系统提供的函数间接操作..
键盘之类的中断查询就OK了 不吃CPU的,磁盘操作的话直接DMA一块数据到内存里面搞定。。。对。。这个DMA控制器可以选择DMA的数据是送到CPU0的DMA内存区还是其他CPU的共享/DMA?内存区。。这个定义一下就OK  。不用CPU一个字节一个字节读  给个信号就搞定。。 这部机目前还在理论阶段 工程量比较大。
本贴中新结构的这个CPU可以随便增加位宽,还可以增加寄存器,运算器也可以增加修改成高级的。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
734997
PCB已经搞定11片了。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735002
引用 rpg-7:
呃。就我使用单片机的经验来看,用中断是节约时间的,定时器至少比靠浪费机器周期,+1的延时函数好的多。而且貌似操作系统的进程切换机制靠的就是中断,给程序分配时间片,然后到时间切换回来,再进行判断,给其他程序分配时间,至少貌似多进程操作系统貌似...
[s:;P]已经比较有把握搞出一套刁炸天的系统撩[s::lol] 酝酿中
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
735088
如果能搞出来的话。。。吊炸天。。。但是公用RAM的读写控制咋办??多CPU的协调似乎有点。。。。我猜下。。靠判断特殊读写允许使能线?令牌?[s::victory:]反正,呃。。。我觉得靠轮询怎么说效率似乎有点低啊。。。反正。。。我觉得还是中断有爱。。。还有你准备一个cpu一个进程吗?
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
735140
我倒是想,加入中断机制后,是否可以利用中断来实现公用RAM的数据定向传送?我YY大致是:除非下位机被中断,否则一切情况下不允许读写公用RAM,上位机写数据,向特定CPU发送中断信号,通知它读取数据,当任意下位机完成计算后,只有中断主机,然后等待主机回复完,开始写RAM,这样的话,把RAM接在io口上?
还有,其实楼主可以申请科创基金买FPGA的说。。这样更方便开发。。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
kknd
9年5个月前 IP:山东
735143
坐等套件
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
735148
突然灵感咋现!楼主知道VMP壳吗?就是把win32程序转换成一个虚拟的很简单的CPU里跑的程序,来增大代码量,我在想如果把vmp的机器码换成你小UU的指令,把小UU弄成32位的。。。啧啧啧。。。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735353
引用 rpg-7:
我倒是想,加入中断机制后,是否可以利用中断来实现公用RAM的数据定向传送?我YY大致是:除非下位机被中断,否则一切情况下不允许读写公用RAM,上位机写数据,向特定CPU发送中断信号,通知它读取数据,当任意下位机完成计算后,只有中断主机,然后...
其实也不排除CPU0使用中断,这个精简CPU可以弄成中断堆栈版, 增加延迟和硬件开销, 这个东西两面性,
找到一个平衡点,不过我想尝试一下不同以往的方法.

中断首先一个是实时性,可以在几十纳秒内进入中断处理程序回复数据,..不过有一点,装回复数据的时间
也许可以把中断响应的延迟给忽略...个人观点,处理数据传输的时候,有时中断响应时间和处理中断程序的时
间比例很大..比如中断响应消耗10ns, 进入中断后往缓冲区装数据消耗1ms,然后发数据..除非是很小的中断程序, 否则表现不出中断的实时性, 对于效率这个东西,CPU总是在执行程序
CPU转一圈回来采样一下中断信号就OK,采样一次中断信号消耗的时间和执行其他程序的时间比例也是比较大,

对于公共RAM我YY出一些机制,一般大量传输数据的话是数据流,可以开设一条DMA通道和DMA内存区不需要CPU干预,
这个方法是对付一驼一驼的数据.
CPU0要给其他CPU发送一条约定好的命令,或者是其他CPU要给CPU0发送一条命令,可以这样操作,公共RAM有TX区和RX区,
往TX区写一条命令,然后标志TX区有数据,TX区RAM数据通路切向目标CPU,这时目标CPU一看见主CPU给信号了,
马上收TX区的命令数据,然后交回TX区给主CPU,要是不交的话.....等着挨CPU0草~~~~  拉闸%^&-_,-#.....
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735354
引用 kknd:
坐等套件
进度正在推进中[s::victory:]  最后2.5片  准备封顶[s::$]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735357
引用 rpg-7:
突然灵感咋现!楼主知道VMP壳吗?就是把win32程序转换成一个虚拟的很简单的CPU里跑的程序,来增大代码量,我在想如果把vmp的机器码换成你小UU的指令,把小UU弄成32位的。。。啧啧啧。。。。
!~ 得研究看看
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
小八一3
9年5个月前 IP:广东
735412
我天天来看大神的进展
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
735422
引用 神之觉醒:
!~ 得研究看看
哈哈。。。不过这个其实有难度,毕竟是win32下的,还是要调用api的。。。。不过也许可以直接转换机器码。。。那就爽了
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735948
14片全部搞掂... 通电运行肯定够GING
软件方便.. 要是手动布估计布到明年
指令计数器和VGA片选.png
指令计数器和VGA片选_3D.png
VGA时序控制.png
VGA时序控制_3D.png
VGA地址.png
VGA地址_3D.png
VGA数据.png
VGA数据_3D.png
SPI控制.png
SPI控制_3D.png
SPI数据收发.png
SPI数据收发_3D.png
时钟复位IO电源.png
时钟复位IO电源_3D.png
LED显示和手动拨码手动时钟.png
LED显示和手动拨码手动时钟_3D.png LED显示和手动拨码手动时钟_3D1.png
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735993
引用 小八一3:
我天天来看大神的进展
打板打板~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735994
引用 rpg-7:
哈哈。。。不过这个其实有难度,毕竟是win32下的,还是要调用api的。。。。不过也许可以直接转换机器码。。。那就爽了
什么段寄存器堆栈寄存器...EAX EBX... 可以把内存单元定义为那些寄存器  然后用本CPU的指令组合..实现大部分~X86指令功能~~    这样一看  怎么感觉X86倒像高级语言了+ +   说实在的用指令模拟X86实现X86指令功能   可能比C语言生成的代码还麻烦,  X86指令集相当于高级语言了。。。里面的变址寻址相当于C语言的数组操作。。。还有CALL。。IO操作也有专门的指令   全部硬件实现[s:;P]  攻城量庞大。  堆硬件堆得够猛。  估计设计X86的攻城师刚饮左两杯二锅头  才有这个劲
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735996
引用 rpg-7:
哈哈。。。不过这个其实有难度,毕竟是win32下的,还是要调用api的。。。。不过也许可以直接转换机器码。。。那就爽了
现在想想  觉得这样搞复杂指令, 增加一个核心, 就相当于增加一个很臃肿的硬件,  功耗也大...可能内核不是功耗大的原因,, 也许是缓存? 缓存占晶体管数量也比较可观,       现在我这样搞可能没有频率优势..  但是可以有办法增加处理单元并行处理一个任务,  比如解码播放视频, 一个CPU解,解好的二进制数据放内存或硬盘, 然后另个CPU再把解好的二进制数据读出来刷屏,  一个CPU解速度不够可以2个CPU 2个CPU不够可以3个CPU.......[s:;P]    我这个CPU现在用74搭, 目前内存还不是瓶颈, 要是内核速度比内存快就要上缓存了噢..  不担心速度,  对影响速度的应该~运算器.
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
735997
引用 rpg-7:
哈哈。。。不过这个其实有难度,毕竟是win32下的,还是要调用api的。。。。不过也许可以直接转换机器码。。。那就爽了
算了一下缓存占多少晶体管,  假设一位6个, 8位48个, 1KB 49152个, 1MB 5千万个[s::funk:]  还有地址译码逻辑??&&&&(?...
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
分立元件
9年5个月前 IP:海南
736041
被大神吸引过来论坛,能讲解一下控制器原理吗?我看了“编码的奥秘”和“穿越计算机的迷雾”两本书,其它的都明白了,就是对控制器内部实现的原理不是很明白!
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
nqmtlove
9年5个月前 IP:山西
736049
关注好久!快出套件!!!
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
736090
变址寻址?是间接寻址的一种吗?我这东西是不是和跳转类似?CPU结构这块我没学过。。。不过   。。貌似这玩意您给搞得巨简单。。。极端简单指令集?
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
小八一3
9年5个月前 IP:广东
736091
[s::lol]终于大板了要一套
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
736185
对了,要不这机子试试用铁电存储器吧!ROM/RAM合一如何?还有,其实我认为16位的也值得一搞,不过最好用贴片元件。。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
736186
还有,我个人真心建议楼主可以上FPGA了。。。。想要啥直接用语言描述仿真,方便的多。。。而且还有实用化的希望。。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736435
引用 分立元件:
被大神吸引过来论坛,能讲解一下控制器原理吗?我看了“编码的奥秘”和“穿越计算机的迷雾”两本书,其它的都明白了,就是对控制器内部实现的原理不是很明白!
那我解释一下本贴CPU执行指令的本质原理.

往常的CPU设计每种指令都有一个指令前缀码, 比如0001代表传送  0010代表运算  0011代表跳转...
但是本贴的CPU不是按照这个理念搞, 没有指令前缀码, 因为这样搞对硬件来讲很啰嗦而且也不必要...
这里的CPU传送指令,运算指令,本质都是数据传送.
下面讲一下这个CPU数据传送的控制原理本质, 非常非常的简单.
下面两张图是本贴CPU数据传送本质原理的一个简单结构模型.
数据传送线路原理.jpg

图里的ROM存储器0地址装有A寄存器送B寄存器的指令,1地址装有B寄存器送A寄存器的指令,后面地址都是空操作指令.
首先复位;
复位之后给CPU第1个时钟;
第1个时钟执行之后指令寄存器锁到的是0地址的指令,会导致寄存器2锁存线拉低,并且寄存器1的数据输出到数据总线, 程序计数器加1指向1地址;
第2个时钟执行之后指令寄存器锁到的是1地址的指令,会导致寄存器2锁存线拉高锁存数据总线上的数据, 同时寄存器1锁存线拉低,并且寄存器2的数据输出到数据总线, 程序计数器加1指向2地址;
第3个时钟执行之后指令寄存器锁到的是2地址的指令,会导致寄存器1锁存线拉高锁存数据总线上的数据, 程序计数器加1指向3地址.........
数据传送时序原理.jpg

指令寄存器锁到第2条指令的时候,执行第1条指令, 锁到第3条指令的时候执行第2条指令...............  工作原理真的很简单很简单..
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736438
引用 nqmtlove:
关注好久!快出套件!!!
多谢关注...  整机电路板文件已经丢到工厂里面了   估计过几天到手.........
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736439
引用 rpg-7:
变址寻址?是间接寻址的一种吗?我这东西是不是和跳转类似?CPU结构这块我没学过。。。不过   。。貌似这玩意您给搞得巨简单。。。极端简单指令集?
差不多  真的很简单...
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736440
引用 小八一3:
终于大板了要一套
...测试OK先[s::victory:]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736441
引用 rpg-7:
对了,要不这机子试试用铁电存储器吧!ROM/RAM合一如何?还有,其实我认为16位的也值得一搞,不过最好用贴片元件。。。
好主意...
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736442
引用 rpg-7:
还有,我个人真心建议楼主可以上FPGA了。。。。想要啥直接用语言描述仿真,方便的多。。。而且还有实用化的希望。。。
用FPGA速度吊..  搞大机方便...   不过现在简单的电路就不麻烦FPGA了,  这样运行起来比较有"松香味"[s:;P]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
分立元件
9年5个月前 IP:海南
736479
引用 神之觉醒:
那我解释一下本贴CPU执行指令的本质原理.

往常的CPU设计每种指令都有一个指令前缀码, 比如0001代表传送  0010代表运算  0011代表跳转...
但是本贴的CPU不是按照这个理念搞, 没有指令前缀码, 因为这样搞对硬件来...
所有控制信号同时打开???电路的确是简单了,直接译码就可以了!以前我还以为是顺序打开的呢!搞的自己设计好复杂!
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
736482
引用 神之觉醒:
用FPGA速度吊..  搞大机方便...   不过现在简单的电路就不麻烦FPGA了,  这样运行起来比较有"松香味"
不过,我在想,弄片FPGA,在上面堆个十几片32位的。。。中间弄一缓存。。。直接实用化,赶英超美[s::lol]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736504
引用 rpg-7:
不过,我在想,弄片FPGA,在上面堆个十几片32位的。。。中间弄一缓存。。。直接实用化,赶英超美
有这个意思~~![s::funk:][s::$][s::lol] 后面四个字不敢保证[s::shutup:]
到时打算每个可能CPU里面装几个运算器,  C语言里面的多元式一条指令搞定[s:;P]...也不是一条指令...要给N个寄存器装数~~~  然后一个卡擦结果就出来~~~~搞费[s:;P]
给N个寄存器装数比较耗时间, 如果一个脉冲都装完就更加爽..因该有办法
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736508
引用 rpg-7:
不过,我在想,弄片FPGA,在上面堆个十几片32位的。。。中间弄一缓存。。。直接实用化,赶英超美
多个运算器寄存器^%^*&^控制,指令位宽可能几十上百几百位,   这回好玩.  不能搞太猛  要低调[s::lol][s::L]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736510
引用 rpg-7:
不过,我在想,弄片FPGA,在上面堆个十几片32位的。。。中间弄一缓存。。。直接实用化,赶英超美

某SRAM存储器的写时序..  tAS  0纳秒,,,  意思说给地址的同时可以写线拉低..  看来内存地址都可以放在指令里面..  这么一来内存都可以像寄存器一样操作[s::funk:]
时序图.png
延迟表.png
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
小龙
9年5个月前 IP:美国
736574
引用 神之觉醒:
某SRAM存储器的写时序..  tAS  0纳秒,,,  意思说给地址的同时可以写线拉低..  看来内存地址都可以放在指令里面..  这么一来内存都可以像寄存器一样操作
要是这样的话那指令要有多长啊~
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
736590
引用 神之觉醒:
某SRAM存储器的写时序..  tAS  0纳秒,,,  意思说给地址的同时可以写线拉低..  看来内存地址都可以放在指令里面..  这么一来内存都可以像寄存器一样操作
作为梦想未尝不可,最终和parallax公司齐头并进如何?[s::lol][s::lol]不过,缓存分组如何?主CPU允许读写全部,但是从CPU只允许读写自己的640kb?
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736697
引用 小龙:
要是这样的话那指令要有多长啊~
估计长到月球去了[s:;P]  YY一下
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
736698
引用 rpg-7:
作为梦想未尝不可,最终和parallax公司齐头并进如何?不过,缓存分组如何?主CPU允许读写全部,但是从CPU只允许读写自己的640kb?

不纠结那个...内存不好管理/..  有另一个结构..内存比较好管理, 缓存控制器的作用是....CPU需要的数据超出缓存的范围后CPU刹车, 然后缓存控制器再从内存取一块数据装进缓存里面, 然后CPU继续运行,  那个偏移量寄存器应该放在IO地址上面到时操作系统可以计算一个内存地址写上去然后启动任务CPU运行[s::lol]   那个偏移量加法器到底是装在上面还是装在缓存控制器里面...  装上面会增加CPU和缓存的地址延迟, 装下面应该好点..  
缓存1MB,  要是有个蛋疼的人写个2MB的顺序程序  估计CPU会被气吐血....
DSCF2953_调整大小.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 修改于 9年5个月前 IP:江苏
736923
引用 神之觉醒:
不纠结那个...内存不好管理/..  有另一个结构..内存比较好管理, 缓存控制器的作用是....CPU需要的数据超出缓存的范围后CPU刹车, 然后缓存控制器再从内存取一块数据装进缓存里面, 然后CPU继续运行,  那个偏移量寄存器应该放在...
缓存控制器不可以分组使用吗?我又想到一个了:是否可以用中断和定时器来实现循环结构?省去循环体变量+1的那个机器周期,我YY一下:循环程序写计算机器周期数,将数字写入定时器寄存器,将使能位 置1,开始计算,到达时间后,中断处理程序结束计算?貌似不是个太好的注意╮(╯▽╰)╭ 。。似乎按这个结构,以存储器的存取方式,数个CPU一旦同时要求内存,就绝对要排队了。。。对了,还有,可不可以在缓冲控制器里用一个累加器,加法器和对比,将内存初始地址扔进加法器的一组,累加器另一组,加法器输出接到接到内存地址线上,一个时钟累加器加一输入加法器然后加法器输出和预定地址对比,同时累加器输到缓存的地址线,缓存数据线和内存地址线相连,一个时钟装一个字节,对比到达定好的地址锁死累加器,等待CPU运算完成后再次调用,写内存同理,然后,CPU一边算着,缓冲区继续一个时钟装一个字节,爽得一塌糊涂,而且我还想,若CPU要读取不同
内存段的数据,让缓存管理器里有一个小的,比如1k的寄存器,记载存取的起止地址,然后完成一段读下一个。。。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
736950
引用 神之觉醒:
有这个意思~~! 后面四个字不敢保证
到时打算每个可能CPU里面装几个运算器,  C语言里面的多元式一条指令搞定...也不是一条指令...要给N个寄存器装数~~~  然后一个卡擦结果就出来~~~~搞费
给N个寄存器装数比较耗时间, 如果...
同时给数个缓冲区装数的话,可不可以靠流水线实现?预先运算,存放,到最后直接出结果,或者直接主CPU把那些乱玩意儿甩给从CPU干╭(╯ε╰)╮,然后流水线直接从公共缓冲区中读出运算出来的指令和数据
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
737033
俺的想法是这样的哈,一个时钟过三态门,累加器+1,累加器数据和锁存的地址数据相加,累加器的地址就送给缓存的地址线,缓存的数据线通过三态门和内存数据线相连,缓存的读写使能线拉高,写,内存的拉低,读。加法器送出来的地址一边进内存,一边进同或门,和终止地址对比,符合拉低时钟和内存地址线的三态门,三态门高阻,释放内存地址线,不再接受时钟。内存的数据线和缓存的两组线也可以这么处理。。。图可能画得有错,请斧正之[s::lol]
IMG_20141227_130715.jpg
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
大胆哥
9年5个月前 IP:广东
737135
[s::lol]又上来看楼主吹牛逼了
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
737136
引用 rpg-7:
俺的想法是这样的哈,一个时钟过三态门,累加器+1,累加器数据和锁存的地址数据相加,累加器的地址就送给缓存的地址线,缓存的数据线通过三态门和内存数据线相连,缓存的读写使能线拉高,写,内存的拉低,读。加法器送出来的地址一边进内存,一边进同或门,...
YES!!!  差不多是这个意思, 输入时钟极限周期和这些器件延迟总和有关(累加器延迟+加法器延迟+比较器延迟+两个门的延迟).
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
737137
引用 大胆哥:
又上来看楼主吹牛逼了
马上又要吹个牛逼 ~!.gif 。。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
737138
引用 rpg-7:
俺的想法是这样的哈,一个时钟过三态门,累加器+1,累加器数据和锁存的地址数据相加,累加器的地址就送给缓存的地址线,缓存的数据线通过三态门和内存数据线相连,缓存的读写使能线拉高,写,内存的拉低,读。加法器送出来的地址一边进内存,一边进同或门,...
。。。话说。。似乎又想到有个新结构可以让CPU大部分时间全频工作不受内存延迟影响,不使用缓存,如果搞成功的话。。  该不该公开。。。@大胆哥
好像不管怎样CPU速度都要受制内存/缓存[s::L]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
虎哥
9年5个月前 IP:四川
737139
引用 神之觉醒:
。。。话说。。似乎又想到有个新结构可以让CPU大部分时间全频工作不受内存延迟影响,不使用缓存,如果搞成功的话。。  该不该公开。。。@大胆哥
好像不管怎样CPU速度都要受制内存
应该早公开,但有公开的技巧。
1、要清楚新结构在业内的水平,看看前人有没有做过类似的或相同的。
2、要给新结构取个容易记住的名字,比如龙少结构。
3、公布以后要加以宣传,最好是平面媒体。每次楼主发的结构,KC都用微博等渠道推过。但是如果结构十分牛逼属重大创新,这样就委屈了,可以考虑先发论文(或报专利、著作权),再发网络。
4、当然如果不在乎这些,好玩为主,那就随便啦。
5、可以录个龙少版/rpg-7版CPU设计入门课的视频,要么很装逼,要么很有趣。可以 @novakon 搞个科新社专访。这样能吸引更多的朋友壮大CPU队伍。
6、素材够多的情况下,可以考虑出本玩转CPU的书,KC可提供出版社方面的渠道和出版资金支持。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
737140
引用 虎哥:
应该早公开,但有公开的技巧。
1、要清楚新结构在业内的水平,看看前人有没有做过类似的或相同的。
2、要给新结构取个容易记住的名字,比如龙少结构。
3、公布以后要加以宣传,最好是平面媒体。每次楼主发的结构,KC都用微博等渠道推过。但是如...
老虎建议很不错~~~!。要是搞出来  这个新结构名称可能是《KC-LS分散内存阵列变频执行结构》 名称很N的样子  看名称应该就知道大概。[s::lol]   这个结构即使使用100ns(10MHZ)的指令存储器,CPU的速度也不会受制于存储器,用高速门电路搭跑上百M~~~[s::lol]
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
神之觉醒作者
9年5个月前 IP:广西
737143
用100ns的指令存储器,   按理CPU是跑10MHZ内,  怎么可能跑到100MHZ(单周期)[s::funk:]~~~   到时变个魔术给你们看怎么实现
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
rpg-7
9年5个月前 IP:江苏
737413
引用 神之觉醒:
老虎建议很不错~~~!。要是搞出来  这个新结构名称可能是《KC-LS分散内存阵列变频执行结构》 名称很N的样子  看名称应该就知道大概。   这个结构即使使用100ns(10MHZ)的指令存储器,CPU的速度也不会受制于存储器,用高速门电...
我猜猜。。。变频执行靠除法器实现?给不同的设备不同的时钟,但是同步怎么办?
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论
表慌张
9年5个月前 IP:重庆
737428
先进,,,不明觉厉 国产有个打酱油操作系统  移植过来呗。
引用
评论
加载评论中,请稍候...
200字以内,仅用于支线交流,主线讨论请采用回复功能。
折叠评论

想参与大家的讨论?现在就 登录 或者 注册

所属专业
上级专业
同级专业
神之觉醒
学者 机友 笔友
文章
71
回复
1163
学术分
4
2011/08/12注册,1年4个月前活动
暂无简介
主体类型:个人
所属领域:无
认证方式:手机号
IP归属地:未同步
文件下载
加载中...
{{errorInfo}}
{{downloadWarning}}
你在 {{downloadTime}} 下载过当前文件。
文件名称:{{resource.defaultFile.name}}
下载次数:{{resource.hits}}
上传用户:{{uploader.username}}
所需积分:{{costScores}},{{holdScores}}下载当前附件免费{{description}}
积分不足,去充值
文件已丢失

当前账号的附件下载数量限制如下:
时段 个数
{{f.startingTime}}点 - {{f.endTime}}点 {{f.fileCount}}
视频暂不能访问,请登录试试
仅供内部学术交流或培训使用,请先保存到本地。本内容不代表科创观点,未经原作者同意,请勿转载。
音频暂不能访问,请登录试试
支持的图片格式:jpg, jpeg, png
插入公式
评论控制
加载中...
文号:{{pid}}
投诉或举报
加载中...
{{tip}}
请选择违规类型:
{{reason.type}}

空空如也

加载中...
详情
详情
推送到专栏从专栏移除
设为匿名取消匿名
查看作者
回复
只看作者
加入收藏取消收藏
收藏
取消收藏
折叠回复
置顶取消置顶
评学术分
鼓励
设为精选取消精选
管理提醒
编辑
通过审核
评论控制
退修或删除
历史版本
违规记录
投诉或举报
加入黑名单移除黑名单
查看IP
{{format('YYYY/MM/DD HH:mm:ss', toc)}}